Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation - Université de Rennes Accéder directement au contenu
Communication Dans Un Congrès Année : 2018

Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation

Résumé

Single ISA-Heterogeneous multi-cores such as the ARM big.LITTLE have proven to be an attractive solution to explore different energy/performance trade-offs. Such architec-tures combine Out of Order cores with smaller in-order ones to offer different power/energy profiles. They however do not really exploit the characteristics of workloads (compute-intensive vs. control dominated). In this work, we propose to enrich these architectures with runtime configurable VLIW cores, which are very efficient at compute-intensive kernels. To preserve the single ISA programming model, we resort to Dynamic Binary Translation , and use this technique to enable dynamic code specialization for Runtime Reconfigurable VLIWs cores. Our proposed DBT framework targets the RISC-V ISA, for which both OoO and in-order implementations exist. Our experimental results show that our approach can lead to best-case performance and energy efficiency when compared against static VLIW configurations.
Fichier principal
Vignette du fichier
rokicki_18_hal.pdf (591.45 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-01653110 , version 1 (01-12-2017)
hal-01653110 , version 2 (05-12-2017)

Identifiants

Citer

Simon Rokicki, Erven Rohou, Steven Derrien. Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation. DATE 2018 - IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2018, Dresden, Germany. pp.1009-1014, ⟨10.23919/DATE.2018.8342160⟩. ⟨hal-01653110v2⟩
749 Consultations
485 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More